VUnit: a test framework for HDL =============================== .. centered:: |shieldPyPI|_ .. |shieldPyPI| image:: https://img.shields.io/pypi/v/vunit_hdl?longCache=true&style=flat-square&label=PyPI&logo=PyPI&logoColor=FFF .. _shieldPyPI: https://pypi.org/project/vunit-hdl/ VUnit is an open source unit testing framework for VHDL/SystemVerilog released under the terms of Mozilla Public License, v. 2.0. It features the functionality needed to realize continuous and automated testing of your HDL code. VUnit doesn't replace but rather complements traditional testing methodologies by supporting a *"test early and often"* approach through automation. :ref:`Read more ` .. image:: _static/vunit_demo.gif .. toctree:: :hidden: blog/index .. toctree:: :caption: About :hidden: about installing testimonials/testimonials .. toctree:: :caption: Guides :hidden: user_guide id/user_guide logging/user_guide check/user_guide run/user_guide com/user_guide verification_components/user_guide data_types/user_guide .. toctree:: :caption: Reference :hidden: cli py/ui hdl_libraries examples .. toctree:: :caption: Continuous Integration :hidden: ci/intro ci/script ci/container ci/manual ci/usecases .. toctree:: :caption: Appendix :hidden: release_notes contributing genindex